Exploiting Idle Hardware to Provide Low Overhead Fault Tolerance for VLIW Processors

A. L. Sartor, A. F Lorenzon, Luigi Carro, Fernanda Kastensmidt, S. Wong, Antonio C.S. Beck

Research output: Contribution to journalSpecial issueScientificpeer-review

11 Citations (Scopus)

Abstract

Because of technology scaling, the soft error rate has been increasing in digital circuits, which affects system reliability. Therefore, modern processors, including VLIW architectures, must have means to mitigate such effects to guarantee reliable computing. In this scenario, our work proposes three low overhead fault tolerance approaches based on instruction duplication with zero latency detection, which uses a rollback mechanism to correct soft errors in the pipelanes of a configurable VLIW processor. The first uses idle issue slots within a period of time to execute extra instructions considering distinct application phases. The second works at a finer grain, adaptively exploiting idle functional units at run-time. However, some applications present high instruction-level parallelism (ILP), so the ability to provide fault tolerance is reduced: less functional units will be idle, decreasing the number of potential duplicated instructions. The third approach attacks this issue by dynamically reducing ILP according to a configurable threshold, increasing fault tolerance at the cost of performance. While the first two approaches achieve significant fault coverage with minimal area and power overhead for applications with low ILP, the latter improves fault tolerance with low performance degradation. All approaches are evaluated considering area, performance, power dissipation, and error coverage.
Original languageEnglish
Pages (from-to)13:1-13:21
Number of pages21
JournalACM Journal on Emerging Technologies in Computing Systems
Volume13
Issue number2
DOIs
Publication statusPublished - 2017

Bibliographical note

Special Issue on Nanoelectronic Circuit and System Design Methods for the Mobile Computing Era and Regular Papers

Keywords

  • Fault tolerance
  • VLIW
  • soft errors
  • adaptive processor

Fingerprint

Dive into the research topics of 'Exploiting Idle Hardware to Provide Low Overhead Fault Tolerance for VLIW Processors'. Together they form a unique fingerprint.

Cite this