Exploring ILP and TLP on a Polymorphic VLIW Processor

Anthony Brandon, Joost Hoozemans, Jeroen van Straten, Stephan Wong

Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

5 Citations (Scopus)

Abstract

In today’s computing environments, the concurrent execution of multiple applications/threads is common and multi-cores are very
well-suited to handle such workloads. However, they suffer from the fact that any mismatch between the application’s inherent instruction-level parallelism (ILP) and the core’s parallelism leads to unused resources or loss in performance. An accepted solution is to include several types of cores and match them dynamically depending on the performance needs of the application. This approach becomes less efficient when the number of cores does not match the number of parallel threads. Furthermore,
the heterogeneity of (fixed) cores cannot be increased indefinitely as it would result in even higher degrees of mismatching and increased movement of instruction and data streams. In this paper, we are proposing a polymorphic processor, based on VLIW architectures, that can adapt its issue-width during runtime. By design, the processor can be perceived as a single wide core (8-issue VLIW) or two medium-wide cores (4-issue) or four small cores (2-issue) that can run high-ILP/low DLP, medium-ILP/medium DLP, and low-ILP/high-DLP applications, respectively. Furthermore, we are executing one single generic binary while performing these reconfigurations. In order to show the effectiveness of our approach, we synthesized different versions of the core to represent fixed heterogeneous cores and compared them to the dynamic implementation of the core. Our experiments show that the dynamically adaptive solution performs on average 7% faster and uses 5% less area than a platform which consists of fixed cores with 1.5× as many datapaths.
Original languageEnglish
Title of host publicationArchitecture of Computing Systems - ARCS 2017
Subtitle of host publication30th International Conference Proceedings
EditorsJ. Knoop, W. Karl, M. Schulz, K. Inoue, T. Pionteck
Place of PublicationCham
PublisherSpringer
Pages177-189
Number of pages13
ISBN (Electronic)978-3-319-54999-6
ISBN (Print)978-3-319-54998-9
DOIs
Publication statusPublished - 2017
EventArchitecture of Computing Systems, ARCS 2017: 30th International Conference - Vienna, Austria
Duration: 3 Apr 20176 Apr 2017

Publication series

NameLecture Notes in Computer Science
PublisherSpringer
Volume10172
ISSN (Print)0302-9743

Conference

ConferenceArchitecture of Computing Systems, ARCS 2017
Country/TerritoryAustria
CityVienna
Period3/04/176/04/17

Fingerprint

Dive into the research topics of 'Exploring ILP and TLP on a Polymorphic VLIW Processor'. Together they form a unique fingerprint.

Cite this