Hardware-based aging mitigation scheme for memory address decoder

Daniel Kraak, Innocent Agbo, Mottaqiallah Taouil, Said Hamdioui, Pieter Weckx, Stefan Cosemans, Francky Catthoor

Research output: Chapter in Book/Conference proceedings/Edited volumeConference contributionScientificpeer-review

7 Citations (Scopus)
58 Downloads (Pure)

Abstract

Designers typically add design margins to memories to compensate for their aging. As the aging impact increases with technology scaling, bigger margins become necessary. However, this negatively impacts area, yield, performance, and power consumption. Alternatively, mitigation schemes can be used to reduce the impact of aging. This paper proposes a hardware-based mitigation scheme for the memory's address decoder logic. The scheme is based on adapting the decoder's workload during idle cycles by stressing the short paths and putting long paths into relaxation. Thanks to the adapted workload, the impact of aging on the address decoder is reduced, resulting in a more reliable memory. To validate the benefit of the mitigation scheme, the decoder's degradation of the L1 data and instruction caches for an ARM v8-a processor is analyzed. The experimental results show that the proposed mitigation scheme reduces the degradation of the decoder's timing margin with up to 4.1x at negligible area and no more than 3% power overhead.
Original languageEnglish
Title of host publication2019 IEEE European Test Symposium (ETS)
PublisherIEEE
Pages1-6
Number of pages6
ISBN (Electronic)978-1-7281-1173-5
ISBN (Print)978-1-7281-1174-2
DOIs
Publication statusPublished - 2019
Event24th IEEE European Test Symposium 2019 - Baden-Baden, Germany
Duration: 27 May 201931 May 2019
Conference number: 24th
http://www.testgroup.polito.it/ets19

Conference

Conference24th IEEE European Test Symposium 2019
Abbreviated titleETS
Country/TerritoryGermany
CityBaden-Baden
Period27/05/1931/05/19
Internet address

Keywords

  • Address decoder
  • Aging
  • Memory
  • Mitigation

Fingerprint

Dive into the research topics of 'Hardware-based aging mitigation scheme for memory address decoder'. Together they form a unique fingerprint.

Cite this